EDA工具应用

单元测验-第5章

1、单选题:
‏编译时出现了以下错误提示:‌‏Error (10170): Verilog HDL syntax error at dec4_16x.v(5) near text "3";  expecting an identifier‌‏代码中的第5行为“output reg[15:0] 3yn”‌‏这里代码的错误可能是什么?‌‏‌
选项:
A: 变量类型定义错误
B: 赋值方式错误
C: 标识符定义不合规范
D: 语句结尾漏了“:”
答案: 【 标识符定义不合规范

2、单选题:
​编辑矢量波形文件进行仿真时,需要编辑的是​​​
选项:
A: 所有输入信号
B: 所有输出信号
C: 全部信号
D: 任选部分信号
答案: 【 所有输入信号

3、单选题:
‎从代码always@(posedge CLK  or negedge RST)可以看出:‍‎‍
选项:
A: RST是同步信号,高电平有效
B: RST是异步信号,低电平有效
C:  RST是同步信号,下降沿有效
D: RST是异步信号,下降沿电平有效
答案: 【 RST是异步信号,低电平有效

4、单选题:
‍编译时出现了以下错误提示:‏‍Error (10170): Verilog HDL syntax error at dec4_16x.v(5) near text "3";  expecting an identifier‏‍代码中的第5行为“output reg[15:0] 3yn”‏‍这里代码的错误可能是什么?‏‍‏
选项:
A: 变量类型定义错误
B:  赋值方式错误
C: 标识符定义不合规范
D: 语句结尾漏了“:”
答案: 【 标识符定义不合规范

5、单选题:
‌若欲将仿真信号的数据显示格式设置为16进制,应选择属性为:‌‌‌
选项:
A: Octal
B: Hexadecimal
C: Unsigned Decimal
D: Fractional
答案: 【 Hexadecimal

6、单选题:
‍错误提示:Error (12007): Top-level design entity "CNT4b" is undefined可能是以下哪种错误;​
选项:
A: 变量类型定义错误
B: 变量CNT4b未定义
C: 未设置顶层实体
D: 顶层实体模块未定义
答案: 【 顶层实体模块未定义

7、单选题:
‍下列代码含义为(   ),​‍“input clk /*synthesis chip_pin= “G21””​
选项:
A: 定义变量clk
B: 设置时钟变量
C: 将时钟信号的引脚锁定到G21
D: 同步clk引脚到G21
答案: 【 将时钟信号的引脚锁定到G21

8、单选题:
‌下列代码含义为(   ),‌‌(*synthesis,keep*) wire i;‌‌‌
选项:
A: 定义变量i为net类型
B: 规定变量i为测试端口,需要保留
C: 综合优化变量i
D: 为变量i定义引脚锁定
答案: 【 规定变量i为测试端口,需要保留

9、多选题:
‏在使用LPM定制ROM时调用的数据文件的格式有:‎
选项:
A:  verilog
B: mif
C: Hex
D: vhdl
答案: 【 mif   ;
Hex   

10、多选题:
‌QuartusII支持哪些设计输入方式:‍‌‍
选项:
A: 文本文件
B: 原理图文件
C: 状态机文件
D: 矢量波形文件
答案: 【 文本文件;
原理图文件;
状态机文件

11、多选题:
‎引脚锁定可以用下列哪些方法实现‍‍‎‍
选项:
A: 利用引脚属性定义,在verilog代码中直接表述实现引脚锁定。
B: 在quartusII中打开pin planer直接锁定
C: 通过tcl脚本
D: 通过导入引脚锁定文件
答案: 【 利用引脚属性定义,在verilog代码中直接表述实现引脚锁定。;
在quartusII中打开pin planer直接锁定;
通过tcl脚本;
通过导入引脚锁定文件

12、多选题:
‎下列属于全程编译的处理操作是:‎‎‎
选项:
A: 输入文件的排错
B: 数据网表文件的提取
C: 逻辑综合和适配
D: 输出仿真结果
答案: 【 输入文件的排错;
数据网表文件的提取;
逻辑综合和适配

13、多选题:
‎按照仿真的电路描述级别的不同,HDL仿真器可以完成:‎‎‎
选项:
A: 门级仿真
B: 行为级仿真
C: RTL级仿真
D: 系统级仿真
答案: 【 门级仿真;
行为级仿真;
RTL级仿真;
系统级仿真

14、多选题:
‍下列属于FPGA的编程下载文件的有‏‍‏‍‏
选项:
A: .sof文件
B: .qpf文件
C: .jic文件
D: .pof文件
答案: 【 .sof文件;
.jic文件

15、多选题:
‎确定采样深度,需要考虑的有​‎​
选项:
A: 待测信号的采样要求
B: 总的信号数量
C: 存储器资源
D: 待测信号的变化频率
答案: 【 待测信号的采样要求;
总的信号数量;
存储器资源

16、多选题:
‎在使用LPM定制ROM时调用的数据文件的格式有:‌‎‌
选项:
A: verilog
B: mif
C: Hex
D: vhdl
答案: 【 mif;
Hex

17、多选题:
‍下列那种技术是基于JTAG技术构建的(       )‎‍‎
选项:
A: SignalTap II
B: ModelSim门级仿真
C: Synplify综合器综合技术
D: In-System Source and Probe
E: FPGA在线配置技术
F: In-System Memory Content Editor
答案: 【 SignalTap II ;
In-System Source and Probe;
FPGA在线配置技术;
In-System Memory Content Editor

18、判断题:
‏一个工程中可以包括多个设计文件。​‏​
选项:
A: 正确
B: 错误
答案: 【 正确

19、判断题:
‎一个工程中只能有一个顶层文件,顶层文件不可更改‍‎‍
选项:
A: 正确
B: 错误
答案: 【 错误

20、判断题:
‍仿真的主要目的是要了解设计结果是否满足原设计的要求。‌‍‌
选项:
A: 正确
B: 错误
答案: 【 正确

21、判断题:
‌电路设计完成后,为了实现硬件下载,需要完成下列步骤:①安装下载器驱动②引脚锁定③编译④编程下载‍‌‍
选项:
A: 正确
B: 错误
答案: 【 正确

22、判断题:
‍引脚锁定与具体的目标芯片型号无关。‎‍‎
选项:
A: 正确
B: 错误
答案: 【 错误

23、判断题:
​为了使FPGA的下载文件掉电之后不丢失,可以将编程文件烧到FPGA的配置芯片里保存。FPGA器件每次上电时,作为控制器从配置器件EPCS主动发出读取数据信号,从而把EPCS的数据读入FPGA中,实现对FPGA的编程。​​​
选项:
A: 正确
B: 错误
答案: 【 正确

24、判断题:
‌采用SignalTapII进行电路分析的时候,采样深度越大越好‎‌‎
选项:
A: 正确
B: 错误
答案: 【 错误

25、判断题:
‍待测信号中的每一个信号的采样深度都是一样的。‏‍‏
选项:
A: 正确
B: 错误
答案: 【 正确

26、判断题:
‍原理图输入和文本输入不能混合在一起使用。‍‍‍
选项:
A: 正确
B: 错误
答案: 【 错误

27、判断题:
‌如果想在仿真中了解模块内部的某个信号的变化,可以对该信号定义keep属性。‍
选项:
A: 正确
B: 错误
答案: 【 正确

EDA技术概述

单元测验-第1章

1、单选题:
‎用逻辑门描述一个全加器,是属于那个设计层次:‌
选项:
A: 晶体管级
B: 物理级
C: 门级
D: 系统级
答案: 【 门级

2、单选题:
‌module cnt32‏‌(‏‌    input clk,‏‌    output reg[31:0] q‏‌);‏‌always @(posedge clk) ‏‌    q = q + 1'b1;‏‌endmodule‏‌上述HDL程序是用什么语言写的?‏
选项:
A:   C++
B: Java
C: Verilog
D:  VHDL
答案: 【 Verilog

3、单选题:
​ModelSim是那种EDA工具:‌
选项:
A:  综合器
B: 下载器
C: 仿真器
D: 适配器
答案: 【 仿真器

4、单选题:
‏Verilog RTL代码经过综合后生成:‌
选项:
A: 门级网表
B: 二进制指令序列
C: 行为及代码
D: PCB
答案: 【 门级网表

5、单选题:
‍EDA发展历程,下列中那个次序是对的?‌
选项:
A: 电子CAD→电子CAE→EDA
B: ESDA→电子CAD→电子CAE
C: CPLD→简单PLD→FPGA
D: 电子CAE→机械CAD→EDA
答案: 【 电子CAD→电子CAE→EDA

6、单选题:
‎“接近真实器件运行特性的仿真,仿真文件中己包含了器件硬件特性参数,因而,仿真精度高。”这句说的是那种仿真:‎
选项:
A: 时序仿真
B: 功能仿真
C: 硬件仿真
D: 软件仿真
答案: 【 时序仿真

7、多选题:
‌Verilog可以完全完成下列哪些设计层次的描述​
选项:
A: 电子系统级
B: RTL级
C: 门级
D: 版图级物理级
答案: 【 RTL级;
门级

8、多选题:
‎下列哪些是可以借助计算机上的EDA软件来完成的:‌
选项:
A: 逻辑化简
B: 综合
C: 适配
D: 自动布局布线
E: 焊接
F: 设计分割
G: 办公自动化
答案: 【 逻辑化简;
综合;
适配;
自动布局布线;
设计分割

9、多选题:
‏常见的HDL语言有:‌
选项:
A: Python
B:  Java
C: SystemVerilog
D: Verilog
E: VHDL
答案: 【 SystemVerilog;
Verilog;
VHDL

10、多选题:
‍下列设计流程次序说明中,那些是正确的:‌
选项:
A: 设计输入在综合前面
B: 适配早于综合
C: 仿真在设计输入前面
D:  硬件测试在下载后面
答案: 【 设计输入在综合前面;
硬件测试在下载后面

11、多选题:
‌在FPGA设计流程中,下列哪些是常用EDA工具:‎
选项:
A: 设计输入器
B: 仿真器
C: 综合器
D: 下载器(软件端)
E: 适配器
答案: 【 设计输入器;
仿真器;
综合器;
下载器(软件端);
适配器

12、多选题:
‎Quartus具有哪些类型EDA工具的功能:‌
选项:
A: 综合器
B: 下载器
C: 仿真器
D: 适配器
答案: 【 综合器;
下载器;
仿真器;
适配器

13、多选题:
‎IP是EDA技术中不可或缺的一部分,下列哪些是常见处理器IP‏
选项:
A:  PCIe
B: ARM Cortex-M33
C: MIPS
D: NiosII
E: RISC-V RV32I
F: IEEE 1284
答案: 【 ARM Cortex-M33;
MIPS;
NiosII;
RISC-V RV32I

14、多选题:
‎SOPC包含:‏
选项:
A:  CPU Core
B: Interfaces & Peripherals
C: Memory
D: Software
答案: 【  CPU Core;
Interfaces & Peripherals;
Memory;
Software

15、判断题:
​Verilog可以描述门级网表‎
选项:
A: 正确
B: 错误
答案: 【 正确

16、判断题:
‎EDA的中文含义是电子设计自动化‎‎‎
选项:
A: 正确
B: 错误
答案: 【 正确

17、判断题:
‍EDA是英文Electronics Design Automation的缩写‍‍‍
选项:
A: 正确
B: 错误
答案: 【 正确

18、判断题:
‍HDL是Hardware Description Language的缩写‌
选项:
A: 正确
B: 错误
答案: 【 正确

19、判断题:
​Verilog可以描述门级网表​
选项:
A: 正确
B: 错误
答案: 【 正确

20、判断题:
​Verilgo程序编写设计流程中的第一步:HDL文本输入‎
选项:
A: 正确
B: 错误
答案: 【 正确

21、判断题:
​整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。‍
选项:
A: 正确
B: 错误
答案: 【 正确

22、判断题:
‍EDA工具不是一种软件,而是一个机械工具‍
选项:
A: 正确
B: 错误
答案: 【 错误

23、判断题:
‎在EDA技术术语中,IP是Internet Protocol(网际互连协议)的缩写‎
选项:
A: 正确
B: 错误
答案: 【 错误

24、判断题:
‏硬IP是HDL源码形式提供的,很容易进行设计修改。​
选项:
A: 正确
B: 错误
答案: 【 错误

25、判断题:
​HDL语言已经成熟,近十年来,没有出现新的HDL语言‏
选项:
A: 正确
B: 错误
答案: 【 错误

26、判断题:
‏C语言综合已经渐渐成为可能,已经出现可以使用的C综合工具‍
选项:
A: 正确
B: 错误
答案: 【 正确

27、判断题:
‌Verilog综合的最后输出是x86的二进制机器吗指令序列‌
选项:
A: 正确
B: 错误
答案: 【 错误

28、判断题:
‍Verilog语法类似于C语言‌
选项:
A: 正确
B: 错误
答案: 【 正确

29、判断题:
‌支持RISC-V处理器RV32I指令集的CPU Verilog源代码可以认为是IP​
选项:
A: 正确
B: 错误
答案: 【 正确

30、判断题:
‌SOC是SYSTEM ON A CHIP的缩写‌
选项:
A: 正确
B: 错误
答案: 【 正确

FPGA与CPLD的结构原理

单元测验-第2章

1、单选题:
‎以下哪个可编程器件是基于与阵列可编程或阵列不可编程的原理: ‎‎‎
选项:
A: PLA
B: FPGA
C: PROM
D: PAL
答案: 【 PAL

2、单选题:
‏下列对FPGA结构与工作原理的描述错误的是:‏‏‏
选项:
A:  基于SRAM的FPGA器件,在每次掉电后会丢失里面已经下载的设计;
B: 在Intel的器件中,CYCLONE IV系列属FPGA结构;
C:  FPGA是基于查找表结构的可编程逻辑器件;
D:  FPGA全称为复杂可编程逻辑门器件。
答案: 【  FPGA全称为复杂可编程逻辑门器件。

3、单选题:
‏以下关于CPLD的描述正确的是:‍‏‍
选项:
A: 可编程逻辑器件
B: 掉电程序会丢失
C: 需要使用配置芯片
D: 基于查找表
答案: 【 可编程逻辑器件

4、单选题:
‎下列关于FPGA可编程原理的说法,那个是正确的_  ____。‎‎‎
选项:
A: 基于LUT结构
B: 基于与阵列可编程
C: 基于或阵列可编程
D: 基于乘积项逻辑可编程
答案: 【 基于LUT结构

5、多选题:
‌MAX3000A主要包括了哪几个主要部分?‎
选项:
A: 逻辑阵列块
B: 宏单元
C: 扩展乘积项
D: 可编程连接阵列
E: I/O控制块
答案: 【 逻辑阵列块;
宏单元;
扩展乘积项;
可编程连接阵列;
I/O控制块

6、多选题:
‌下面哪些器件属于复杂PLD:‍‌‍
选项:
A: PLA
B: FPGA
C: PROM
D: CPLD
答案: 【 FPGA;
CPLD

7、多选题:
‍从结构上看,PLD器件能够分为以下几类结构:‌‍‌
选项:
A: 基于查找表结构
B: 基于与阵列可编程
C: 基于或阵列可编程
D: 基于乘积项逻辑可编程
答案: 【 基于查找表结构;
基于乘积项逻辑可编程

8、

剩余75%内容付费后可查看

发表评论

电子邮件地址不会被公开。 必填项已用*标注